脉冲宽度测量仪 - 范文中心

脉冲宽度测量仪

09/06

课 程 设 计

课 程 __ EDA综合设计_ _ 课 题 脉冲宽度测量仪 _ 专 业 电子信息工程 _ 班 级 _ 姓 名 _指导老师 _

2012年5月21日

目 录

一、 课程设计任务书………………………………3 二、 总体设计思想…………………………………3 三、 设计步骤和调试过程…………………………3 四、 模块设计和相应模块程序……………………4 五、 设计电路图……………………………………7 六、 实验调试结果…………………………………7 七、 结论及心得体会………………………………8 八、 参考资料………………………………………8

一、课程设计任务书

1. 任务

采用EDA 技术,设计一个能测量脉冲信号宽度的系统。系统图如下:2. 要求

(1)脉冲信号宽度的测量精度为±1ms 。 (2)脉冲信号宽度的测量范围为0~10s 。 (3)调试过程中可以用按键模拟脉冲信号。

(4)测量值用5位数码管显示(可以采用静态显示)。 (5)输入信号为标准TTL 电平。

(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。 (7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体

设计,各模块设计,调试与数据分析,总结)。

二、总体设计思想

1. 基本原理

根据设计要求,系统的输入信号有:系统时钟信号CLK ,系统复位信号CLR ,脉冲输入信号P-IN ,计数输出端,当检测到P-IN 端有脉冲输入时检测模块就会输出1,否则为0,输给计数模块的EN 端,当各位累计到9时,会向十位进位,依次类推到万位,最后由数码管显示脉冲的宽度(即数码管的读数)。 2. 设计框图

系统组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分组成。检测模块是整个系统的核心,它由计数模块、控制模块、计量模块和译码显示模块构成。

1.1 系统框图

三、设计步骤和调试过程

1、总体设计电路 (1)脉冲检测模块

当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN 端。 (2)计数模块

计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。(3)译码显示模块

对输入的脉冲宽度进行显示出来。

四、模块设计和相应模块程序

1、检测程序模块

library ieee;

use ieee.std_logic_1164.all; entity measure is port( P_IN : in std_logic;

EN_OUT: out std_logic); end measure;

architecture behave of measure is begin

process (P_IN) begin

if(P_IN='1') then EN_OUT

end if; end process; end behave;

2、计数程序模块

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count is port(EN:in std_logic; CLK:in std_logic; CLR:in std_logic; CQ:out std_logic;

Q out:BUFFER std_logic_vector(3 downto 0) );

end count;

architecture art of count is begin

U1:process(CLK,CLR,EN,Qout) Begin

if CLR='1' then Qout

if Qout="1001" then Qout

if Qout="1001" then CQ

五、设计电路图

六、实验调试结果

为验证所设计程序是否正确,将程序进行软件仿真测试。得到如下图数据。(未贴出详细测试数据)。仿真证明,程序没有问题,能够进行应用。

七、结论及心得体会

这次课程设计的主要任务是设计一个脉冲宽度测量仪, 虽然老师为我们提供

了一些相关的信息, 也给了我们一个实验设计的轮廓, 但在实际设计过程中还是遇到了不少的问题。画流程图、编写程序、编译以及仿真等等,当有不清楚的时候就查一些相关的资料并向别的同学请教,然后再编再改,直到成功为止。通过自己的努力和同学的帮助完成了这次设计,但我觉得过程更重要。通过这次的课程设计,让我明白了“三人行,必有我师焉”,更让我懂得了,在困难和未知面前,只要我们勇敢地去尝试,总结经验,汲取教训,努力做好每一点,那么我们就会有所收获!

八、参考资料

[1] 潘松著.EDA 技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编. 电子技术基础 模拟部分. 北京:高教出版社,2006. [3] 阎石主编. 数字电子技术基础. 北京:高教出版社,2002


相关内容

  • 荧光灯电子镇流器整流效应的检测问题
    荧光灯电子镇流器整流效应的检测问题 GB19510.4<荧光灯用交流电子镇流器的特殊要求>, 标准要求(17.1款):在灯寿命结束时,镇流器在额定电源电压的90 %和110 %之间任一电压下不应使灯头过度发热. 关于模拟灯寿命结 ...
  • 电工学实验答案
    哈哈.b两端电压测量的准确性. 电流表的内阻越小越好,以减小其上的电压,以保证a.b支路电流测量的准确性. 实验4 RLC串联交流电路的研究 七.实验报告要求及思考题 2 列表整理实验数据,通过实验总结串联交流电路的特点. 答:当XL X ...
  • 电磁兼容测试标准与测试方法
    Technology Lecture ·技术讲座 产品认证.电磁兼容测试标准与测试方法(二) 钱振宇 2 产品自身所产生的电磁骚扰的测量方法 在GB4343.GB4824.GB9254和GB17743(分别对应于家用电器和电动工具.工科医射 ...
  • 采用单片机设计频率计_毕业设计论文
    毕业设计论文 采用单片计设计频率计 系 专业 班级指导教师 职称 讲师 设计时间 目录 摘 要 ........................................................................ ...
  • 光电传感器实验指导书
    光电传感器技术实验指导 太原理工大学物理与光电工程学院 太原理工大学测控技术研究所 2014年10月21日 实验一 光敏电阻特性参数及其测量 1.光敏电阻伏安特性实验 1.1.实验目的 通过本实验,认识并学习光敏电阻,掌握光敏电阻的基本工作 ...
  • EMC测试中的峰值准峰值平均值均方根值
    第33卷第3期杭州电子科技大学学报V01.3,3,No.32013年06月JournalofHartgzh∞DianziUnivemityJun.2013doi:lO.3969/j.ban.1001-9146.2013.03-003 EMC ...
  • 可控硅参数名词解释
    晶闸管参数名词解释 1. 反向重复峰值电压(VRRM):反向阻断晶闸管两端出现的重复最大瞬时值反向电压,包括所有的重复瞬态电压,但不包括所有的不重复瞬态电压. 注:反向重复峰值电压(VRRM)是可重复的,值大于工作峰值电压的最大值电压,如每 ...
  • 激光功率计doc
    概 况 H14490是一种新型宽光谱响应.高灵敏.快响应.低温漂,数字直读的激 光功率测量仪器.该仪器配套IP - 200.IP - 1000系列探测器.分别适用最大量 程2W .10W .50W .200W 和分辨率l u w.10u w ...
  • 红外接收模块
    Sanjing ElectronicsSJ8430 红外接收模块 概述 SJ8430 是用于红外遥控系统的一体化接收模块,内置PIN光敏二极管和前置放大器IC,实现红外遥控信号的拾取.放大和解调,检波输出信号可以直接由微处理器解码. SJ8 ...
  • 计量装置检查
    计量装置接线检查(JN20-2-2-12 ) 依托负控实训系统,模拟主要接线错误,了解主要接线错误情况下电能表.负控终端.主站情况,掌握各种常见计量故障的判别方法. 一.检查前的准备工作 (一)检查资料的准备 1.根据负控工作性质,确定检查 ...